Abstract

Voltage and frequency scaling (VFS) for NoC can potentially reduce energy consumption, but the associated increase in latency and degradation in throughput limits its deployment. We propose flexible-pipeline routers that reconfigure pipeline stages upon VFS, so that latency through such routers remains constant. With minimal hardware overhead, the deployment of such routers allows us to reduce network frequency and save network energy, without significant performance degradation. Furthermore, we demonstrate the use of simple performance metrics to determine the optimal operation frequency, considering the energy/performance impact on all aspects of the system — the cores, the caches and the interconnection network.


Original document

The different versions of the original document can be found in:

http://dx.doi.org/10.1109/islped.2011.5993674
http://www.ee.umn.edu/users/sachin/conf/islped11.pdf,
http://yadda.icm.edu.pl/yadda/element/bwmeta1.element.ieee-000005993674,
https://dl.acm.org/citation.cfm?id=2016897,
https://experts.umn.edu/en/publications/noc-frequency-scaling-with-flexible-pipeline-routers,
https://ieeexplore.ieee.org/document/5993674,
https://academic.microsoft.com/#/detail/2166678275
Back to Top

Document information

Published on 01/01/2011

Volume 2011, 2011
DOI: 10.1109/islped.2011.5993674
Licence: CC BY-NC-SA license

Document Score

0

Views 0
Recommendations 0

Share this document

Keywords

claim authorship

Are you one of the authors of this document?