Abstract

Soft-edge flip-flop based pipelines can improve the performance and energy efficiency of circuits operating in the super-threshold (supply voltage) regime by allowing opportunistic time borrowing. The application of this technique to near-threshold regime of operation, however, faces a significant challenge due to large circuit parameter variations that result from manufacturing process imperfections and substrate temperature changes. This paper thus addresses the issue of variability-aware design of the energy-delay optimal linear pipelines that are aimed at operating in both the near-threshold and super-threshold regimes. Precisely, this goal is achieved by deriving the optimal delay line configuration in the soft-edge flip-flops in the near-threshold and the super-threshold operations regimes. The key is to ensure that the same transistor sizes result in effective operation of the delay lines (and hence appropriate settings of the transparency window size) in both operation regimes under the process induced variations. Experimental results demonstrate the efficacy of the proposed solution.


Original document

The different versions of the original document can be found in:

https://dl.acm.org/citation.cfm?id=2483028.2483061,
https://dblp.uni-trier.de/db/conf/glvlsi/glvlsi2013.html#XieWP13,
https://experts.syr.edu/en/publications/variability-aware-design-of-energy-delay-optimal-linear-pipelines,
https://academic.microsoft.com/#/detail/2104724772
http://dx.doi.org/10.1145/2483028.2483061
Back to Top

Document information

Published on 01/01/2013

Volume 2013, 2013
DOI: 10.1145/2483028.2483061
Licence: CC BY-NC-SA license

Document Score

0

Views 0
Recommendations 0

Share this document

Keywords

claim authorship

Are you one of the authors of this document?