Abstract

Resource sharing in the mapping of an algorithm to an architecture allows the same resource to be scheduled for different uses in different cycles, generally at the cost of increased schedule length. Multi-pumping is a method whereby a resource is clocked at a frequency that is a multiple of the surrounding circuit, thereby offering multiple executions per global clock, and therefore sharing in the same clock cycle. This concept maps well to FPGA architectures, where hard macro blocks are typically capable of running at higher frequencies than standard logic. While this technique has been demonstrated for multipliers, modern DSP blocks are more complex with multiple computational nodes. In this paper, we apply multi-pumping to minimise DSP block usage, while taking advantage of the multiple nodes they support. The proposed approach uses, on average, 39% fewer DSP blocks, at a cost of 19% more LUTs and 7% more registers.


Original document

The different versions of the original document can be found in:

http://dx.doi.org/10.1109/fpt.2015.7393146
http://wrap.warwick.ac.uk/80841,
http://wrap-test.warwick.ac.uk/74989,
https://academic.microsoft.com/#/detail/2202125064
Back to Top

Document information

Published on 01/01/2016

Volume 2016, 2016
DOI: 10.1109/fpt.2015.7393146
Licence: CC BY-NC-SA license

Document Score

0

Views 0
Recommendations 0

Share this document

Keywords

claim authorship

Are you one of the authors of this document?