Abstract

Statically pipelined processors have a fully exposed datapath where all portions of the pipeline are directly controlled by effects within an instruction, which simplifies hardware and enables a new level of compiler optimizations. This paper describes an effect scheduling strategy to aggressively compact instructions, which has a critical impact on code size and performance. Unique scheduling challenges include more frequent name dependences and fewer renaming opportunities due to static pipeline (SP) registers being dedicated for specific operations. We also realized the SP in a hardware implementation language (VHDL) to evaluate the real energy benefits. Despite the compiler challenges, we achieve performance, code size, and energy improvements compared to a conventional MIPS processor.


Original document

The different versions of the original document can be found in:

https://scholarworks.boisestate.edu/cs_facpubs/62,
http://www.diva-portal.org/smash/record.jsf?pid=diva2:847507,
https://dblp.uni-trier.de/db/conf/cases/cases2015.html#DavisBGSFRCUWT15,
http://sjalander.com/research/pdf/sjalander-cases2015.pdf,
https://works.bepress.com/gang-ryung_uh/17,
http://uu.diva-portal.org/smash/record.jsf?pid=diva2:847507,
https://academic.microsoft.com/#/detail/2038404002
http://dx.doi.org/10.1109/cases.2015.7324557
Back to Top

Document information

Published on 01/01/2015

Volume 2015, 2015
DOI: 10.1109/cases.2015.7324557
Licence: CC BY-NC-SA license

Document Score

0

Views 1
Recommendations 0

Share this document

Keywords

claim authorship

Are you one of the authors of this document?