Abstract

FabScalar is a recently published tool for automatically generating superscalar cores, of different pipeline widths, depths and sizes. The output of FabScalar is a synthesizable register-transfer-level (RTL) description of the desired core. While this capability makes sophisticated cores more accessible to designers and researchers, meaningful applications require reducing RTL descriptions to physical designs. This paper presents the first systematic physical design study of FabScalar-generated superscalar cores.


Original document

The different versions of the original document can be found in:

http://dx.doi.org/10.1109/vlsi-soc.2012.7332095
https://people.engr.ncsu.edu/ericro/publications/conference_VLSI-SoC-2012.pdf,
http://yadda.icm.edu.pl/yadda/element/bwmeta1.element.ieee-000006379024,
https://doi.org/10.1109/VLSI-SoC.2012.6379024,
https://academic.microsoft.com/#/detail/2164321609


DOIS: 10.1109/vlsi-soc.2012.6379024 10.1109/vlsi-soc.2012.7332095

Back to Top

Document information

Published on 01/01/2015

Volume 2015, 2015
DOI: 10.1109/vlsi-soc.2012.6379024
Licence: CC BY-NC-SA license

Document Score

0

Views 3
Recommendations 0

Share this document

Keywords

claim authorship

Are you one of the authors of this document?