Abstract

Trace reuse improves the performance of processors by skipping the execution of sequences of redundant instructions. However, many reusable traces do not have all of their inputs ready by the time the reuse test is done. For these cases, we developed a new technique called reuse through speculation on traces (RST), where trace inputs may be predicted. We study the limits of RST for modern processors with deep pipelines, as well as the effects of constraining resources on performance. We show that our approach reuses more traces than the nonspeculative trace reuse technique, with speedups of 43% over a nonspeculative trace reuse and 57% when memory accesses are reused.


Original document

The different versions of the original document can be found in:

https://dx.doi.org/10.1109/CAHPC.2003.1250319,
http://ieeexplore.ieee.org/document/1250319,
https://ieeexplore.ieee.org/document/1250319,
http://dx.doi.org/10.1109/CAHPC.2003.1250319,
https://dblp.uni-trier.de/db/conf/sbac-pad/sbac-pad2003.html#PillaCFCS03,
https://academic.microsoft.com/#/detail/2142189862
http://dx.doi.org/10.1109/cahpc.2003.1250319
Back to Top

Document information

Published on 01/01/2004

Volume 2004, 2004
DOI: 10.1109/cahpc.2003.1250319
Licence: CC BY-NC-SA license

Document Score

0

Views 0
Recommendations 0

Share this document

Keywords

claim authorship

Are you one of the authors of this document?